eda课程设计论文

eda课程设计论文

问:EDA课程设计
  1. 答:我的文库里有一个关系EDA做的,你自己找一下看看,是论文的形式哦!
  2. 答:各个学校的规矩好像不一样吧,在学校里打听下具体怎么规定的。可以跟老师求求情,有的差的不多就给过了。要不就申请下是否可以补考燃睁,逗茄提前看山段察看,跟老师打
问:EDA课程设计——《数字钟》体会怎么写啊?
  1. 答:经过两个星期对实践的制作,从中学到了很多。首先是对EDA的vhd语言的更深如祥腔层次认宴哗识,本来觉得EDA编程语言比较麻烦,可是接触了以后也就觉得它还是有它方便的地方,尤其是和图形编程结合的特点。其次,这个实践其实到目前为止应该还不是一个成功的作品,还是有很多的仿真没有完成,原因可能也是自己的技术不到位。但是整个制作的过程中,它促进了同学之间的相互沟通,也让我在自己的专业知识的学习过程中,更多的,更好的学习一门知识,用于以后的实践应用中,做这个数字钟的设计中包含了很多不同功能的程序,让我在其中学到了一些程序的中的思路,特别一步一步去把错误的程序改正确是一种很有成就感的事!这样让我学到了更多的知识!特别是渣衫在做24进制的时候,我在网上查了好多程序,证实了好多错误的程序并从中更改出正确的程序!相信,现在只是一个起步,以后,我会更好的努力,学习,对EDA孰能生巧
  2. 答:课程设计感悟
    通过这次设计,既复习了以前所学的知识,也进一步加深了对余模EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在画顶层原理图时,遇到了不少问题,最大的问题就是根本没有把各个模块的VHD文件以及生成的器件都全部放在顶层文件的文件夹内,还有就是程序设计的时候考虑的不够全面,没有联系着各个模式以及实验板的情况来编写程序,以至于多考虑编写了译码电路而浪费了很多时间。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在分频模块中,设定输入的时钟信号后,却只有二分频的结果,其余三个分频始终没反应。后来,在数十次的调试和老师的指点之后,才发现是因为规定的信号量范围太大且信号的初始值随机,从而不能得到所要的结果。还有的仿真图根本就不出波形,怎么调节都不管用,后来才知道原来是路径不正确,路径中不可以有汉字。真是细节决定成败啊!
    总的来说,这次设计的数字钟还是比较成功的,有点小小的成就感,终于觉得平时所学的知识有了实备宏用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清竖滚缓楚的认识,同时,对未来有了更多的信心。
  3. 答:自动化专业课程设计裂嫌与项目实例丛书 电子设计自动化(EDA)课程链源轮设计与项目实例
    这本棚信书应该能用得上场,挺实用的本。有教程,有实例。
  4. 答:通过对数字钟课程设计使我学会了60进制的工作原理
问:紧急求助,望高手帮忙~~!关于EDA课程设计的报告~~
  1. 答:就是200分,
    也不会首扰颂有闲人给你做李裂的!
    好者郑自为之吧!
    平时不好好工作学习,
    现在吃不了兜着走是应该的!
eda课程设计论文
下载Doc文档

猜你喜欢